CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - DS18B20 VHDL

搜索资源列表

  1. Temper

    0下载:
  2. DS18B20芯片的温度检测的VHDL编程-DS18B20 chip temperature detection VHDL programming
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-28
    • 文件大小:1316251
    • 提供者:AARON
  1. FPGA_DS18B20

    0下载:
  2. 利用FPGA,vhdl语言设计,控制DS18B20芯片温度检测。-FPGA, vhdl language design, control DS18B20 chip temperature detection
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:746689
    • 提供者:卢宇生
  1. ds

    0下载:
  2. 用VHDL实现的DS18B20温度传感器驱动,有效温度数据位为9位,每92ms刷新一次温度数据。-DS18B20 temperature sensor using VHDL drive, the data bits of the effective temperature of 9 per 92ms refresh time temperature data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:1505
    • 提供者:RH
  1. DS18B20_1

    0下载:
  2. 数字温度传感器DS18B20控制程序VHDL语言,FPGA和CPLD都测试过可以执行-Digital temperature sensor DS18B20 control procedures VHDL language, FPGA and CPLD are tested to perform
  3. 所属分类:Other systems

    • 发布日期:2017-11-24
    • 文件大小:437017
    • 提供者:高超
  1. wkt

    0下载:
  2. ds18b20温度计,vhdl简易版,带报警功能-ds18b20 thermometer, vhdl Simple version
  3. 所属分类:Software Testing

    • 发布日期:2017-11-22
    • 文件大小:1390016
    • 提供者:wkt
  1. 18b20

    0下载:
  2. ds18b20实现温度采集 数码管显示 用vhdl语言编写-Ds18b20 realize temperature acquisition digital tube display with vhdl language
  3. 所属分类:Communication

    • 发布日期:2017-12-13
    • 文件大小:2059
    • 提供者:zjcmudala
« 1 2 3 4»
搜珍网 www.dssz.com